Welcome![Sign In][Sign Up]
Location:
Search - SDRAM FPGA

Search list

[VHDL-FPGA-VerilogFPGA

Description: 基于FPGA 的SDRAM 控制器的设计方法,使用该方法实现的控制器可非常方便地对SDRAM 进行控制。-FPGA-based SDRAM controller design method, using the method of the controller can easily control the SDRAM.
Platform: | Size: 11981824 | Author: 呵呵 | Hits:

[VHDL-FPGA-Verilogsdram_ok

Description: sdram + FPGA sdram + FPGA sdram + FPGA-sdram+ FPGAsdram+ FPGAsdram+ FPGAsdram+ FPGAsdram+ FPGA
Platform: | Size: 2361344 | Author: cuiyifan | Hits:

[VHDL-FPGA-VerilogFPGA-SRAM_Test

Description: 利用FPGA实现SDRAM的读写操作,通过硬件测试。-FPGA implementation using SDRAM to read and write operation, hardware testing.
Platform: | Size: 532480 | Author: 林后斌 | Hits:

[VHDL-FPGA-VerilogDDR-SDRAM_IP_core

Description: DDR-SDRAM接口模块verilog源代码,可用作IP核使用,已在FPGA上验证-DDR-SDRAM interface module verilog source code, can be used as IP cores to use, proven
Platform: | Size: 474112 | Author: zyy | Hits:

[VHDL-FPGA-VerilogFPGA-SDRAM-read-and-write-examples

Description: FPGA读写SDRAM的实例,内含源代码,希望对大家有帮助。-FPGA SDRAM read and write examples, including source code, we want to help.
Platform: | Size: 19932160 | Author: haby | Hits:

[VHDL-FPGA-VerilogVirtex-5-FPGA_DDR2_SDRAM_data

Description: Virtex-5 FPGA实现的高性能 DDR2 SDRAM数据采集,需要对V5有一定基础的人学习-Virtex-5 FPGA DDR2 SDRAM to achieve high-performance data acquisition, the need for V5 have to learn some basic
Platform: | Size: 436224 | Author: apple_rao | Hits:

[DSP programDDR2SDRAM

Description: dm6446例程实验报告,详细的介绍了ddr2 sdram实验的过程-dm6446 report of the example
Platform: | Size: 804864 | Author: zhangqi | Hits:

[VHDL-FPGA-VerilogFPGA_DDR-SDRAM

Description: FPGA对SDRAM的控制,有部分源码,-FPGA SDRAM control, part of the source,...
Platform: | Size: 5399552 | Author: | Hits:

[VHDL-FPGA-VerilogSpartan3-FPGA--DDR2-SDRAM

Description: FPGA学习资料,入门级掌握资料,ddr2内存-Spartan-3 FPGA 的 DDR2 SDRAM
Platform: | Size: 218112 | Author: liu | Hits:

[VHDL-FPGA-Verilogsdram_mdl

Description: SDRAM的FPGA 工程。用Verilog编写。器件型号为K4S641632,经过实验板验证,绝对可用。-SDRAM FPGA project. Written in Verilog. Device model K4S641632, after the experimental board, absolutely available.
Platform: | Size: 2589696 | Author: 李水军 | Hits:

[Othersdram-ctrl

Description: FPGA sdram 全页模式控制,用verilog语言写的,非常的精简,控制方便-FPGA sdram full-page mode control, written in verilog language is compact, easy to control
Platform: | Size: 6144 | Author: 方道门 | Hits:

[VHDL-FPGA-VerilogFPGA-SHIYAN

Description: FPGA教学资源,包括几十个教学实验程序,主芯片为EP2C8Q208C8,SDRAM. 实验指导书 CPLD_mode 9.3Nios_sound_TCP 9.2RunnigLED 10TCP1_test 4.1DECODER_3_8 4.2ENCODER_8_3 4.3Hex7S_s 4.4Hex7S_d 4.5MUX_4 4.6COMPARE 4.7ADDER_4 4.8ADD_SUB_4 5.1D_FF 5.2REG 5.3SHIFT_R 5.4 COUNTER 5.5 FRE_D 5.6SEQDET 6.1vga6.2OLED 6.4PS2_keyboard 6.3PS_mouse 6.5UART-RS232 6.6sd_core 6.7Audio_Interface_TCP 7.1ROM 7.2SRAM 7.3Flash-FPGA teaching resources, including dozens of teaching experimental procedures, the main chip for EP2C8Q208C8 SDRAM the experimental instructions CPLD_mode 9.3Nios_sound_TCP 9.2RunnigLED 10TCP1_test 4.1DECODER_3_8 4.2ENCODER_8_3 4.3Hex7S_s 4.4Hex7S_d 4.5MUX_4 4.6COMPARE 4.7ADDER_4 4.8ADD_SUB_4 5.1D_FF 5.2REG 5.3SHIFT_R 5.4 COUNTER 5.5 FRE_D 5.6SEQDET 6.1vga6.2OLED 6.4PS2_keyboard 6.3PS_mouse 6.5UART-RS232 6.6sd_core 6.7Audio_Interface_TCP 7.1ROM 7.2SRAM 7.3Flash
Platform: | Size: 45575168 | Author: 阿飞 | Hits:

[OtherDDR-SDRAM--controllor-of-FPGA

Description: 本文档设计了一种FPGA控制DDR SDRAM的方法,详细介绍了控制内容。比较有参考价值。-This document is designed DDR SDRAM, a FPGA control method, detailed control content. Reference value.
Platform: | Size: 64512 | Author: 秦艳召 | Hits:

[VHDL-FPGA-VerilogFPGA--SDRAM

Description: SDRAM:Synchronous Dynamic Random Access Memory- 同步动态随机存储器,同步是指 Memory工作需要同步时钟,内部的命令的发送与数据的传输都以它为基准;动态是指存储阵列需要不断的刷新来保证数据不丢失;随机是指数据不是线性依次存储,而是自由指定地址进行数据读写。
Platform: | Size: 19928064 | Author: 官雄辉 | Hits:

[VHDL-FPGA-VerilogSDRAM-design-FPGA-altera

Description: SDRAM design FPGA altera-SDRAM design FPGA altera.
Platform: | Size: 719872 | Author: zhaochao | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: verilogHDL语言编写,简单的FPGA写sdram程序,初学者必备。-verilogHDL language, write a simple FPGA the sdram program, beginners must.
Platform: | Size: 19456 | Author: | Hits:

[VHDL-FPGA-Verilogsdram-control

Description: 基于FPGA的SDRAM读写控制程序,由VHDL语言编写-FPGA-based SDRAM read and write control program, by the VHDL language
Platform: | Size: 9216 | Author: lijiaxi | Hits:

[OtherSDRAM-works

Description: 根据具体的情况提出一种独特的方法,实现了对SDRAM的控制,并通过利用FPGA控制数据存取得顺序来实现对数字视频图像的旋转,截取,平移等实现处理。-Proposed a unique approach depending on the situation, the control of SDRAM, and control data through the use of FPGA deposit made to order processing digital video image rotation, interception, pan achieve.
Platform: | Size: 617472 | Author: GANGXG | Hits:

[VHDL-FPGA-VerilogFPGA-SRC

Description: 用于DSP+FPGA开发系统,可用于采集一帧图像并控制SRAM、SDRAM数据存取。-Used in DSP+ FPGA development system, to capture an image and control the SRAM, SDRAM data access.
Platform: | Size: 2826240 | Author: 李雷 | Hits:

[OtherFPGA-and-DDR2_SDRA

Description: 针对 Spartan-3 FPGA 的 DDR2 SDRAM 存储器接口-FPGA DDR2 SDRAM
Platform: | Size: 265216 | Author: wls | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 15 »

CodeBus www.codebus.net